Сдам Сам

ПОЛЕЗНОЕ


КАТЕГОРИИ







На языке Verilog и компиляция





1. Включить приложение ModelSim. В появившемся информационном

окне (рис. А.1) "Important Information" ("Важная информация") щелкнуть по кнопке "Jumpstart" ("Быстрый старт"). Затем в появившемся окне (рис. А.2) "Welcome to ModelSim" ("Приглашение в ModelSim") щелкнуть по надписи "Create a Project" ("Создать проект"). Если при запуске пакета окно "Important Information" не появляется, то следует выбрать в главном меню команду "Help\ Welcome Menu" ("Помощь\ Начальное меню") или команду "File| New| Project" ("Файл| Новый| Проект").

Рис. А.2. Окно приглашения к работе

2. В появившемся окне (рис. А.3) "Create Project" ("Создать проект") ввести в поле "Project Name" ("Имя проекта") имя, присваиваемое проекту; в поле "Project Location" ("Место расположения проекта") ввести полный путь (маршрут) к папке проекта и щелкнуть по кнопке "Ok". Маршрут можно ввести с помощью кнопки "Browse" ("Обзор"), активирующей стандартные средства навигации Windows – окно "Обзор папок". Следует, однако, иметь в виду, что если проект создается в новой папке, то при выделении в дереве папок, той, внутри которой будет создаваться новая для проекта, имя выделенной папки появится в текстовом поле ввода окна "Обзор папок". Если к нему допечатать в продолжение маршрута имя папки проекта, то будет создано две папки. Например, если в дереве папок выделена папка c:\projects, то имя папки projects появится в

Рис. А.3. Окно создания проекта

текстовом окне ввода. Если к имени папки добавить имя папки проекта, например, projects\pro01, то будет создана папка с полным маршрутом c:\projects|projects\pro01. Чтобы не создавалась дублирующая папка projects, следует ее имя в текстовом окне ввода удалить и набрать только имя папки будущего проекта – pro01.

 

 

Рис. А.4. Окно добавления файлов в проект

 

В поле ввода "Default Library Name" ("Имя библиотеки по умолчанию") оставить без изменения имя "work" ("рабочая"). В поле ввода "Copy Settings From" ("Скопировать начальные установки из") оставить без изменения текст "… modelsim_ase/modelsim.ini", что обеспечит загрузку начальных установок среды из файла modelsim.ini. Расположенную в нижней части окна радиокнопку оставить в положении "Copy Library Mappings" ("Скопировать расположение библиотек"). Щелкнуть по кнопке "Ok". Если папка проекта заранее не создавалась, то в появившемся окне "Create Project" ("Создание проекта" на вопрос "The project directory does not exist. Ok to create the directory?" ("Папки проекта не существует. Создать папку?") необходимо утвердительно ответить, щелкнув по кнопке "Да".

3. В появившемся окне (рис. А.4) "Add items to the Project" ("Добавить в проект") щелкнуть по варианту "Create New File" ("Создать новый файл").

4. В появившемся окне (рис. А.5) "Create Project File" ("Создать проектный файл") в поле "File Name" ввести имя файла проекта; в списке ввода "Add file as type" ("Добавить файл типа") выбрать вариант "Verilog" и щелкнуть по кнопке "Ok" в правой нижней части окна.

 

 

Рис. А.5. Окно создания файла проекта

5. Щелкнуть по кнопке "Close" ("Закрыть") окна "Add items to the Project".

6. В левой части экрана, в окне "Workspace" ("Рабочая область") на закладке "Project" ("Проект") появится имя созданного файла. Дважды щелкнуть по имени файла. При этом в правой части экрана появится окно для рабочих файлов с текстовым редактором для подготовки выбранного файла. Вызвать текстовой редактор для выбранного файла можно иначе: выделить однократным щелчком мыши в окне "Workspace" строку с именем требуемого файла. Затем, щелкнув по выделенной строке правой кнопкой мыши, вызвать контекстное меню. В контекстном меню выбрать команду "Edit" ("Редактирование").

7. Ввести текст описания устройства на языке Verilog. Для примера ниже представлен текст описания простого инвертора.

 

module z_not(ia,o); //заголовок модуля - имя модуля и список портов

input ia; //описание порта ia как входного однобитного

output o; //описание порта o как выходного однобитного

 

not g1(o,ia); //объявление экземпляра примитива not с именем

//g1, подключение к выходу примитива (первый

//по порядку в скобках) выходного порта модуля o

//и подключение к входу примитива (второй

//в скобках) входного порта ia

endmodule //завершение описания модуля

 

Листинг А.1. Пример содержания конструкторского файла инвертора "z_not.v"

 

Рис. А.6. Главное окно пакета ModelSim с контекстным меню для файла

Сохранить текст файла на диске, выбрав в главном меню команду "File\ Save" ("Файл\ Сохранить").

8. Включить компиляцию файла, выделив щелчком мыши строку с именем файла в окне "Workspace" на закладке "Project" и выбрав в главном меню команду "Compile\ Selected" ("Компилировать\ Выбранные файлы"). После завершения процесса в нижнем горизонтальном окне "Transcript" ("Трансляция команд и сообщений") при отсутствии в файле синтаксических ошибок появится "зеленое" сообщение "# Compile of *.v was successful" ("Файл *.v прокомпилирован успешно"). (* - имя конструкторского файла проекта, для приведенного примера - z_not). В случае присутствия синтаксических ошибок появится "красное" сообщение "# Compile of *.v failed with 1 errors" ("Компиляция файла *.v прекращена с 1 ошибкой"). Дважды щелкнув по "красному" сообщению, можно вызвать окно "…/*.v – Unsuccessful Compile" ("файл …/*.v – Неудачная Компиляция"), в котором приводиться список ошибок, обнаруженных в файле, с указанием номеров строк их местонахождения. Если в файле содержались ошибки, их необходимо исправить, и повторить затем пп. 6 и 7.

 







ЧТО И КАК ПИСАЛИ О МОДЕ В ЖУРНАЛАХ НАЧАЛА XX ВЕКА Первый номер журнала «Аполлон» за 1909 г. начинался, по сути, с программного заявления редакции журнала...

ЧТО ПРОИСХОДИТ ВО ВЗРОСЛОЙ ЖИЗНИ? Если вы все еще «неправильно» связаны с матерью, вы избегаете отделения и независимого взрослого существования...

Что вызывает тренды на фондовых и товарных рынках Объяснение теории грузового поезда Первые 17 лет моих рыночных исследований сводились к попыткам вычис­лить, когда этот...

Что делает отдел по эксплуатации и сопровождению ИС? Отвечает за сохранность данных (расписания копирования, копирование и пр.)...





Не нашли то, что искали? Воспользуйтесь поиском гугл на сайте:


©2015- 2024 zdamsam.ru Размещенные материалы защищены законодательством РФ.